US 11,742,229 B2
Auto-calibration to a station of a process module that spins a wafer
Jacob L. Hiester, Beaverton, OR (US); Richard Blank, Fremont, CA (US); Peter Thaulad, Fremont, CA (US); and Paul Konkola, West Linn, OR (US)
Assigned to Lam Research Corporation, Fremont, CA (US)
Filed by Lam Research Corporation, Fremont, CA (US)
Filed on Dec. 16, 2021, as Appl. No. 17/553,658.
Application 17/553,658 is a continuation of application No. 16/870,847, filed on May 8, 2020, granted, now 11,239,100.
Application 16/870,847 is a continuation of application No. 16/000,734, filed on Jun. 5, 2018, granted, now 10,651,065, issued on May 12, 2020.
Claims priority of provisional application 62/595,454, filed on Dec. 6, 2017.
Prior Publication US 2022/0108902 A1, Apr. 7, 2022
Int. Cl. H01L 21/67 (2006.01); H01L 21/687 (2006.01); H01L 21/68 (2006.01); H01L 21/677 (2006.01); H01J 37/32 (2006.01); H01L 21/66 (2006.01); H01L 21/683 (2006.01)
CPC H01L 21/67259 (2013.01) [H01J 37/32724 (2013.01); H01L 21/67161 (2013.01); H01L 21/67201 (2013.01); H01L 21/67248 (2013.01); H01L 21/67253 (2013.01); H01L 21/67742 (2013.01); H01L 21/67748 (2013.01); H01L 21/68 (2013.01); H01L 21/681 (2013.01); H01L 21/68742 (2013.01); H01L 21/68764 (2013.01); H01L 21/68771 (2013.01); H01L 22/20 (2013.01); H01J 2237/2446 (2013.01); H01J 2237/24578 (2013.01); H01J 2237/334 (2013.01); H01J 2237/3321 (2013.01); H01L 21/6831 (2013.01)] 20 Claims
OG exemplary drawing
 
1. A system for processing a wafer, comprising:
a process module including a rotation device having a rotation axis;
a pedestal within the process module configured for supporting a process wafer;
a transfer robot configured for transferring the process wafer to and from the process module; and
a measurement system fixed within a reference coordinate system, wherein the measurement system is configured to determine an alignment offset of the process wafer when transferring the process wafer to the pedestal,
wherein the transfer robot is configured to apply an alignment correction corresponding to the alignment offset,
wherein the transfer robot is configured to apply a condition correction of the rotation axis of the rotation device of the process module, wherein the condition correction is determined based on measuring a calibration wafer by the measurement system during entry into the process module and during exit from the process module after rotation,
wherein the process module is under a process condition.