US 11,935,771 B2
Modular mainframe layout for supporting multiple semiconductor process modules or chambers
Randy A. Harris, Kalispell, MT (US); Coby Scott Grove, Whitefish, MT (US); Paul Zachary Wirth, Kalispell, MT (US); Avinash Shantaram, Whitefish, MT (US); Alpay Yilmaz, San Jose, CA (US); Amir Nissan, Sunnyvale, CA (US); Jitendra Ratilal Bhimjiyani, Santa Clara, CA (US); Niranjan Pingle, Milpitas, CA (US); and Vincent Dicaprio, Sunnyvale, CA (US)
Assigned to APPLIED MATERIALS, INC., Santa Clara, CA (US)
Filed by Applied Materials, Inc., Santa Clara, CA (US)
Filed on Oct. 28, 2021, as Appl. No. 17/513,631.
Application 17/513,631 is a continuation in part of application No. 17/177,882, filed on Feb. 17, 2021.
Prior Publication US 2022/0262653 A1, Aug. 18, 2022
Int. Cl. H01L 21/67 (2006.01); H01L 21/687 (2006.01); H01L 23/00 (2006.01)
CPC H01L 21/6719 (2013.01) [H01L 21/67121 (2013.01); H01L 21/67167 (2013.01); H01L 21/67173 (2013.01); H01L 21/67294 (2013.01); H01L 21/68707 (2013.01); H01L 24/97 (2013.01)] 20 Claims
OG exemplary drawing
 
1. A multi-chamber processing tool for processing substrates, comprising:
A first equipment front end module (EFEM) having one or more loadports for receiving one or more types of substrates,
a second EFEM having one or more loadports for receiving one or more types of substrates on a side of the multi-chamber processing tool opposite the first EFEM; and
a plurality of atmospheric modular mainframes (AMMs) coupled to each other and having a first AMM coupled to the first EFEM and a last AMM coupled to the second EFEM, wherein each of the plurality of AMMs include a transfer chamber and one or more process chambers coupled to the transfer chamber, wherein the transfer chamber includes a buffer configured to hold a plurality of the one or more types of substrates, and wherein the transfer chamber includes a transfer robot configured to transfer the one or more types of substrates between the buffer, the one or more process chambers, and a buffer disposed in an adjacent AMM of the plurality of AMMs, wherein at least one of:
the one or more loadports of the first EFEM include one or more first loadports for receiving a first type of substrate and one or more second loadports for receiving a second type of substrate having a plurality of chiplets, and wherein the one or more process chambers of each of the plurality of AMMs include at least one of a wet clean chamber, a plasma chamber, a degas chamber, a radiation chamber, or a bonder chamber such that the multi-chamber processing tool includes at least one wet clean chamber, at least one plasma chamber, at least one degas chamber, at least one radiation chamber, and at least one bonder chamber, or
the plurality of AMMs comprise a first AMM coupled to the first EFEM, a second AMM coupled to the first AMM at one end and a junction module at an opposite end, a third AMM and a fourth AMM coupled to the junction module at opposite sides of the junction module, and a fifth AMM coupled to the fourth AMM at an end opposite the junction module, wherein the junction module includes a buffer and a transfer robot.