US 11,935,770 B2
Modular mainframe layout for supporting multiple semiconductor process modules or chambers
Randy A. Harris, Kalispell, MT (US); Coby Scott Grove, Whitefish, MT (US); Paul Zachary Wirth, Kalispell, MT (US); Avinash Shantaram, Whitefish, MT (US); Alpay Yilmaz, San Jose, CA (US); Amir Nissan, Sunnyvale, CA (US); and Vincent Dicaprio, Sunnyvale, CA (US)
Assigned to APPLIED MATERIALS, INC., Santa Clara, CA (US)
Filed by Applied Materials, Inc., Santa Clara, CA (US)
Filed on Feb. 17, 2021, as Appl. No. 17/177,882.
Prior Publication US 2022/0262652 A1, Aug. 18, 2022
Int. Cl. H01L 21/67 (2006.01); H01L 21/677 (2006.01); H01L 21/687 (2006.01)
CPC H01L 21/6719 (2013.01) [H01L 21/67173 (2013.01); H01L 21/67201 (2013.01); H01L 21/67207 (2013.01); H01L 21/67766 (2013.01); H01L 21/68707 (2013.01)] 20 Claims
OG exemplary drawing
 
1. A multi-chamber processing tool for processing substrates, comprising:
an equipment front end module (EFEM) having one or more loadports for receiving one or more types of substrates; and
a plurality of automation modules coupled to each other and having a first automation module coupled to the EFEM, wherein each of the plurality of automation modules include a transfer chamber and one or more process chambers coupled to the transfer chamber, wherein the transfer chamber includes a buffer configured to hold a plurality of the one or more types of substrates, and wherein the transfer chamber includes a transfer robot configured to transfer the one or more types of substrates between the buffer, the one or more process chambers, and a buffer disposed in an adjacent automation module of the plurality of automation modules, wherein at least one of:
the one or more loadports include one or more first loadports for receiving a first type of substrate and one or more second loadports for receiving a second type of substrate having a plurality of chiplets, and wherein the one or more process chambers of each of the plurality of automation modules include at least one of a wet clean chamber, a plasma chamber, a degas chamber, a radiation chamber, or a bonder chamber such that the multi-chamber processing tool includes at least one wet clean chamber, at least one plasma chamber, at least one degas chamber, at least one radiation chamber, and at least one bonder chamber; or
the plurality of automation modules comprise a first automation module coupled to the EFEM, a second automation module coupled to the first automation module at one end and a junction module at an opposite end, a third automation module and a fourth automation module coupled to the junction module at opposite sides of the junction module, and a fifth automation module coupled to the fourth automation module at an end opposite the junction module, wherein the junction module includes a buffer and a transfer robot; or
the plurality of automation modules comprise a first automation module coupled to the EFEM, a second automation module coupled to the first automation module at one end and a junction module at an opposite end, a third automation module and a fourth automation module coupled to the junction module at opposite sides of the junction module, and a fifth automation module coupled to the fourth automation module at an end opposite the junction module, wherein the junction module includes a buffer and a transfer robot.