US 11,756,925 B2
Methods and apparatus for vacuum processing a substrate
Ying Wang, Singapore (SG); and Ruiping Wang, San Jose, CA (US)
Assigned to APPLIED MATERIALS, INC., Santa Clara, CA (US)
Filed by Applied Materials, Inc., Santa Clara, CA (US)
Filed on Oct. 22, 2021, as Appl. No. 17/508,489.
Prior Publication US 2023/0129590 A1, Apr. 27, 2023
Int. Cl. H01L 21/00 (2006.01); H01L 23/00 (2006.01); H01L 21/67 (2006.01); C23C 16/513 (2006.01); H01L 21/683 (2006.01); H01L 21/66 (2006.01)
CPC H01L 24/95 (2013.01) [C23C 16/513 (2013.01); H01L 21/67132 (2013.01); H01L 21/67253 (2013.01); H01L 21/6836 (2013.01); H01L 22/10 (2013.01); H01L 24/94 (2013.01)] 20 Claims
OG exemplary drawing
 
1. A method for hybrid bonding a wafer, comprising:
performing a first vacuum processing procedure on the wafer disposed within a first processing chamber;
obtaining at least one of moisture measurements or organic species measurements within the first processing chamber;
comparing the obtained at least one of moisture measurements or organic species measurements with a predetermined threshold; and
one of when a comparison of the obtained at least one of moisture measurements or organic species measurements is equal to or less than the predetermined threshold automatically performing a second vacuum processing procedure in a second processing chamber different from the first processing chamber on the wafer, or when the comparison of the obtained at least one of moisture measurements or organic species measurements is greater than the predetermined threshold automatically continuing performing the first vacuum processing procedure on the wafer.