US 11,966,785 B2
Hardware resource configuration for processing system
Dam Sunwoo, Austin, TX (US); Supreet Jeloka, Austin, TX (US); Saurabh Pijuskumar Sinha, Schertz, TX (US); Jaekyu Lee, Austin, TX (US); Jose Alberto Joao, Austin, TX (US); and Krishnendra Nathella, Austin, TX (US)
Assigned to Arm Limited, Cambridge (GB)
Filed by Arm Limited, Cambridge (GB)
Filed on Jul. 30, 2020, as Appl. No. 16/943,117.
Prior Publication US 2022/0035679 A1, Feb. 3, 2022
Int. Cl. G06F 9/46 (2006.01); G06F 9/50 (2006.01); G06N 5/04 (2023.01); G06N 20/00 (2019.01)
CPC G06F 9/5044 (2013.01) [G06F 9/5038 (2013.01); G06F 9/505 (2013.01); G06N 5/04 (2013.01); G06N 20/00 (2019.01)] 20 Claims
OG exemplary drawing
 
1. A computer-implemented method for controlling hardware resource configuration for a processing system comprising a plurality of processor cores, the method comprising:
obtaining, by a computing system, performance monitoring data indicative of processing performance associated with workloads to be executed on the processing system, wherein:
the processing system is configured to support a first processor core of the plurality of processor cores processing a workload using borrowed hardware resource of a second processor core of the plurality of processor cores, the processing system being configured to support a plurality of alternative hardware resource configurations corresponding to different amounts of inter-core borrowing of hardware resources between the plurality of processor cores; and
the performance monitoring data comprises a first set of performance monitoring data corresponding to a first workload to be executed on the first processor core and a second set of performance monitoring data corresponding to a second workload to be executed on the second processor core;
generating, by the computing system, based on the first set of performance monitoring data corresponding to the first workload to be executed on the first processor core and the second set of performance monitoring data corresponding to the second workload to be executed on the second processor core, input data for a trained machine learning model, the trained machine learning model being arranged to output an inference identifying at least one of the plurality of alternative hardware resource configurations corresponding to an amount of inter-core borrowing of hardware resources that is suitable for executing the first workload on the first processor core and the second workload on the second processor core;
providing, by the computing system, the input data to the trained machine learning model; and
responsive to the inference output by the trained machine learning model in response to the input data, the computing system configuring the processing system, using control information, to control, based on the at least one hardware resource configuration identified by the inference, an amount of inter-core borrowing of hardware resource between the plurality of processor cores.